Skip to main content

Addressing NoC Reliability Through an Efficient Fibonacci-Based Crosstalk Avoidance Codec Design

  • Conference paper
  • First Online:
Algorithms and Architectures for Parallel Processing (ICA3PP 2015)

Abstract

The reliable transfer in Network on Chips (NoCs) can be threatened by crosstalk fault occurring in wires. Crossstalk fault is due to inter-wire coupling capacitance that based on the patterns of transitions appearing on the wires, significantly limits the reliability of NoCs. Among these transitions, 101 and 010 bit patterns impose the worst crosstalk effects to wires. This work intends to increase the reliability of NoCs against crosstalk faults by applying an improved Fibonacci-based numeral system, called Doubled-Penultimate Fibonacci (DP-Fibo). In the DP-Fibo coding algorithm, code words without ‘101’ and ‘010’ bit patterns are produced to reduce crosstalk faults. Experimental results show that the proposed numerical system: (1) can be utilized in NoC channels with any arbitrary wire width and, (2) can outperform other existing coding mechanism in providing significant reliability improvement and reduction over the area occupation and power consumption of NoCs. Experiments indicated that DP-Fibo provides improvement in area occupation, power-delay product, critical path and power consumptions of codec with respect to the state-of-the-art Fibonacci coding mechanism by 13 %, 22.7 %, 5 % and 25 % respectively.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

References

  1. Benini, L., De Micheli, G.: Networks on chips: a new SoC paradigm. Computer 35(1), 70–78 (2002)

    Article  Google Scholar 

  2. Kumar, S., Jantsch, A., Soininen, J.P., Forsell, M., Millberg, M., Oberg, J., Tiensyrja, K., Hemani, A.: A network on chip architecture and design methodology. In: IEEE Symposium on VLSI (ISVLSI), pp. 117–122 (2002)

    Google Scholar 

  3. Dally, W.J., Towles, B.: Principles and Practices of Interconnection Networks. Morgan Kaufmann, San Mateo (2004)

    Google Scholar 

  4. Radetzki, M., Feng, C., Zhao, X., Jantsch, A.: Methods for fault tolerance in network on chip. ACM Comput. Surv. 44, 1–36 (2013)

    Article  Google Scholar 

  5. Kuhlmann, M., Sapatnekar, S.S.: Exact and efficient crosstalk estimation. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 20(7), 858–866 (2001)

    Google Scholar 

  6. Duan, C., Tirumala, A., Khatri, S.P.: Analysis and avoidance of crosstalk in on-chip buses. In: Hot Interconnects (HOTI), pp. 133–138 (2001)

    Google Scholar 

  7. Flayyih, W.N., Samsudin, K., Hashim, S.J., Rokhani, F.Z., Ismail, Y.I.: Crosstalk-aware multiple error detection scheme based on two-dimensional parities for energy efficient network on chip. IEEE Trans. Circ. Syst. I 61(7), 2034–2047 (2014)

    Google Scholar 

  8. Frantz, A.P., Kastensmidt, F.L., Carro, L., Cota, E.: Dependable network-on-chip router able to simultaneously tolerate soft errors and crosstalk. In: Proceedings of the International Test Conference (ITC), pp. 1–9 (2006)

    Google Scholar 

  9. Bai, X., Dey, S.: High-level crosstalk defect simulation for system-on-chip interconnects. In: Proceedings of VLSI Test Symposium (VTS), pp. 169–175 (2001)

    Google Scholar 

  10. Hirose, K., Yasuura, H.: A bus delay reduction technique considering crosstalk. In: Proceedings of Design, Automation and Test in Europe (DATE), pp. 441–445 (2000)

    Google Scholar 

  11. Agarwal, K., Sylvester, D., Blaauw, D.: Modeling and analysis of crosstalk noise in coupled RLC interconnects. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 25(5), 892–901 (2005)

    Google Scholar 

  12. Zhang E., Friedman, G.: Effect of shield insertion on reducing crosstalk noise between coupled interconnects. In: Proceedings of International Symposium on Circuits and Systems (ISCAS), pp. 23–26, May 2004

    Google Scholar 

  13. Akl, C.J., Bayoumi, M.A.: Reducing interconnect delay uncertainty via hybrid polarity repeater insertion. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 16(9), 1230–1239 (2008)

    Article  Google Scholar 

  14. Kose, S., Salman, E., Friedman, E.G.: Shielding methodologies in the presence of power/ground noise. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 19(8), 1458–1468 (2011)

    Article  Google Scholar 

  15. Pande, P.P., Ganguly, A., Feero, B., Belzer, B., Grecu, C.: Design of low power and reliable networks on chip through joint crosstalk avoidance and forward error correction coding. In: Proceeding of IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT), pp. 466–476 (2006)

    Google Scholar 

  16. Sridhara, S.R., Shanbhag, N.R.: Coding for reliable on-chip buses: a class of fundamental bounds and practical codes. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 26(5), 977–982 (2007)

    Article  Google Scholar 

  17. Sridhara, S.R., Shanbhag, N.R.: Coding for system-on-chip networks: a unified framework. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 13(6), 655–667 (2005)

    Article  Google Scholar 

  18. Zhang, Y., Huawei, L., Yinghua, M., Xiaowei, L.: Selected transition time adjustment for tolerating crosstalk effects on network-on-chip interconnects. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 19(10), 1787–1800 (2011)

    Article  Google Scholar 

  19. Duan, C., Calle, V.H.C., Khatri, S.P.: Efficient on-chip crosstalk avoidance codec design. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 17(4), 551–560 (2009)

    Article  Google Scholar 

  20. International Technology Roadmap for Semiconductors (ITRS), 2005 edn. Technical report (2005). http://public.itrs.net

  21. Ganguly, A., Pande, P.P., Belzer, B.: Crosstalk-aware channel coding schemes for energy efficient and reliable interconnects. IEEE Trans. VLSI 17(11), 1626–1639 (2009)

    Article  Google Scholar 

  22. International Technology Roadmap for Semiconductors (ITRS), 2007 edn. Technical report (2007). http://public.itrs.net

  23. Stan, M.R., Burleson, W.P.: Bus-invert coding for low-power i/o. IEEE Trans. Very Large Scale Integr. Syst. 3(1), 49–58 (1995)

    Article  Google Scholar 

  24. Shi, F., Wu, X., Yan, Z.: New crosstalk avoidance codes based on a novel pattern classification. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 21(10), 1892–1902 (2013)

    Article  Google Scholar 

  25. Rossi, D., Nieuwland, A.K., Katoch, A., Metra, C.: Exploiting ECC redundancy to minimize crosstalk impact. IEEE Des. Test Comput. 22(1), 59–70 (2003)

    Article  Google Scholar 

  26. Sridhara, S.R., Ahmed, A., Shanbhag, N.R.: Area and energy-efficient crosstalk avoidance codes for on-chip buses. In: Proceedings of IEEE International Conference on Computer Design (ICCD), pp. 12–17 (2004)

    Google Scholar 

  27. Victor, B., Keutzer, K.: Bus encoding to prevent crosstalk delay. In: Proceedings of IEEE/ACM International Conference on Computer Aided Design (ICCAD), pp. 57–63 (2001)

    Google Scholar 

  28. Murali, S., Theocharides, T., Vijaykrishnan, N., Irwin, M.J., Benini, L., De Micheli, G.: Analysis of error recovery schemes for networks on chips. IEEE Des. Test Comput. 22(5), 434–442 (2005)

    Article  Google Scholar 

  29. Rossi, D., Metra, C., Nieuwland, A.K., Katoch, A.: New ECC for crosstalk impact minimization. IEEE Des. Test Comput. 22(4), 340–348 (2005)

    Article  Google Scholar 

  30. Ganguly, A., Pande, P.P., Belzer, B., Grecu, C.: Addressing signal integrity in networks on chip interconnects through crosstalk-aware double error correction coding. In: Proceedings of IEEE Computer Society Annual Symposium on VLSI, (ISVLSI), pp. 317–324 (2007)

    Google Scholar 

  31. Shirmohammadi, Z., Miremadi, S.G.: S2AP: an efficient numerical-based crosstalk avoidance code for reliable data transfer of NoCs. In: Proceedings of the IEEE International Symposium on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoC) (2015)

    Google Scholar 

  32. Shirmohammadi, Z., Miremadi, S.G.: Crosstalk avoidance coding for reliable data transmission of network on chips. In: Proceedings of the International Symposium on System-on-Chip (SoC), pp. 1–4 (2013)

    Google Scholar 

  33. Xuebin, V., Zhiyuan, Y.: Efficient codec designs for crosstalk avoidance codes based on numeral systems. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 19(4), 548–558 (2011)

    Article  Google Scholar 

  34. Mutyam, M.: Preventing crosstalk delay using fibonacci representation. In: Proceedings of International Conference on of VLSI Design (VLSID 2004), pp. 685–688 (2004)

    Google Scholar 

  35. Palesi, M., Fazzino, F., Ascia, G., Catania, V.: Data encoding for low-power in wormhole-switched networks-on-chip. In: Proceedings of Euromicro Conference on Digital System Design, Architectures, Methods and Tools (DSD), pp. 119–126 (2009)

    Google Scholar 

  36. H264/AVC JM Reference. http://iphome.hhi.de/suehring/tml/

  37. Fu, B., Ampadu, P.: Exploiting parity computation latency for on-chip crosstalk reduction. IEEE Trans. Circ. Syst. 57(5), 399–403 (2010)

    Article  Google Scholar 

  38. Zimmer, H., Jantsch, A.: A fault model notation and error-control scheme for switch-to-switch buses in a network-on-chip. In: Proceedings of International Conference Hardware/Software Codesign and System Synthesis (CODES-ISSS), pp 188–19 (2003)

    Google Scholar 

  39. Sotiriadis, P.P., Chandrakasan, A.: Reducing bus delay in submicron technology using coding. In: Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 109–114 (2001)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Zahra Shirmohammadi .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2015 Springer International Publishing Switzerland

About this paper

Cite this paper

Shirmohammadi, Z., Miremadi, S.G. (2015). Addressing NoC Reliability Through an Efficient Fibonacci-Based Crosstalk Avoidance Codec Design. In: Wang, G., Zomaya, A., Martinez, G., Li, K. (eds) Algorithms and Architectures for Parallel Processing. ICA3PP 2015. Lecture Notes in Computer Science(), vol 9530. Springer, Cham. https://doi.org/10.1007/978-3-319-27137-8_55

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-27137-8_55

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-27136-1

  • Online ISBN: 978-3-319-27137-8

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics